References

1
Galileo project. http://www.cs.wisc.edu/ galileo/. Computer Sciences Department, University of Wisconin - Madison.

2
PPRAM project. http://kasuga.csce.kyushu-u.ac.jp/ ppram/. Computer Science Division, Kyushu Univeristy, Japan.

3
SPEC95 CPU benchmarks. http://www.specbench.org/osg/cpu95/.

4
DECchip 21064-AA Microprocessor Hardware Reference Manual, 1992.

5
Pentium pro family developer's manual, volume 3: Operating system writer's manual, 1996.

6
Y. Aimoto et al. A 7.68 GIPS, 3.84 gb/s 1W parallel image-processing RAM integrating a 16 Mb DRAM and 128 processors. In Digest of Technical Papers, 1996 IEEE International Solid-State Circuits Conference, pages 372-373, 476, San Francisco, CA, February 1996.

7
D. Bhandarkar and D. Clark. Measuring vax 8800 performance with historogram hardware monitor. In Proceedings of the 15th Annual International Symposium on Computer Architecture, pages 301-310, 1984.

8
D. Bhandarkar and J. Ding. Performance characterization of the Pentium Pro processor. In to appear in the Third International Symposium on High-Performance Computer Architecture, February 1997.

9
Z. Cvetanovic and D. Bhandarkar. Characterization of ALPHA AXP performance using TP and SPEC woarkloads. In Proceedings of the 21st Annual International Symposium on Computer Architecture, pages 60-70, April 1994.

10
Z. Cvetanovic and D. Bhandarkar. Performance characterization of the Alpha 21164 microprocessor using TP and SPEC workloads. In Proceedings, Second International Symposium on High-Performance Computer Architecture, pages 270-280, San Jose, CA, February 1996.

11
M. Deering and S. Schlapp. FBRAM: a new form of memory optimized for 3D graphics. In Proceedings of the SIGGRAPH 94 Conference, pages 167-74, July 1994.

12
C. Noakes et. al. Instruction level profiling of the rs6000. In Proceedings of the 18th Annual International Symposium on Computer Architecture, pages 180-189, Philadelphia, PA, May 1991.

13
A. Arpaci-Dusseau et.al. High-performance sorting on networks of workstations. In Submitted for Publication, 1996.

14
L.A. Rowe et.al. MPEG Video in Software: Representation, Transmission and Playback. In Proceedings of the SPIE 1994 International Symposium on Electronic Imaging, February 1994.

15
M. Noakes et.al. The J-Machine multicomputer: an architectural evaluation. In Proceedings of the 20st Annual International Symposium on Computer Architecture, pages 224-235, May 1993.

16
R. Fromm et.al. The energy efficiency of iram architectures. paper submitted to the 23rd International Symposium on Computer Architecture, May 1996.

17
M. Fillo et al. The M-Machine multicomputer. In Proceedings of the 28th Annual International Symposium on Microarchitecture, pages 146-156, Ann Arbor, MI, November 1995.

18
G. Giacalone et al. A 1 MB, 100 MHz integrated L2 cache memory with 128b interface and ECC protection. In Digest of Technical Papers, 1996 IEEE International Solid-State Circuits Conference, pages 370-371, San Francisco, CA, February 1996.

19
J. Hennessy and D. Patterson. Computer Architecture: A Quantitative Approach. Morgan Kaufmann Publishers, Inc, San Francisco, CA, 1996.

20
M. Horiguchi et al. An experimental 220MHz 1 Gb DRAM. In Proceedings IEEE International Solid-State Circuits Conference, volume 38, pages 252-253, February 1995.

21
P. Kogge. Execube - a new architecture for scaleable mpps. In Proceedings of the 1994 International Conference on Parallel Processing, volume 1, pages 77-84, Raleigh, NC, August 1994.

22
H. Koike et al. A 30ns 64Mb DRAM with built-in self-test and repair function. In Digest of Technical Papers, 1996 IEEE International Solid-State Circuits Conference, pages 150-151, 270, San Francisco, CA, February 1996.

23
D. Patterson, T. Anderson, and K. Yelick. A case for intelligent DRAM: IRAM. In HotChips VIII, pages 75-93, Stanford, CA, 1996.

24
D. Patterson et al. Intelligent ram (IRAM): Chips that remember and compute. In To appear in 1997 IEEE International Solid-State Circuits Conference, San Francisco, CA, February 1997.

25
Steven A. Przybylski. New DRAM Technologies: A Comprehensive Analysis of the New Architctures. MicroDesign Resources, Sebastopol, California, 1994.

26
M. Rosenblum et al. Complete computer system simulation: The SimOS approach. In IEEE Parallel and Distributed Technology: Systems and Applications, volume 3, pages 34-43, Winter 1995.

27
A. Saulsbury, F. Pong, and A. Nowatzyk. Missing the memory wall: The case for processor/memory integration. In Proceedings of the 23rd Annual International Symposium on Computer Architecture, pages 90-101, Philadelphia, PA, May 1996.

28
W. Wulf and S. McKee. Hitting the memory wall: Implications of the obvious. Computer Architecture News, 23(1):20-24, March 1995.

29
W. Bowman, N. Cardwell, C. Romer IRAM System Simulation, 1996.



On-Line Links


Helen Wang
Mon Dec 9 16:03:15 PST 1996